Designing with Xilinx(r) FPGAs: Using Vivado
ISBN: 9783319424378 / Angielski / Twarda / 260 str. Termin realizacji zamówienia: ok. 5-8 dni roboczych. Aiding the implementation of designs on Xilinx(R) FPGAs, this hands-on book shows how to get the greatest impact from the Vivado(R) Design Suite, delivering a SoC-strength, IP- and system-centric, next generation development environment built from the ground up to address the productivity bottlenecks in system-level integration and implementation.
Aiding the implementation of designs on Xilinx(R) FPGAs, this hands-on book shows how to get the greatest impact from the Vivado(R) Design Suite, deli...
|
|
cena:
502,35 zł |
Formal Verification of Simulink/Stateflow Diagrams: A Deductive Approach
ISBN: 9783319470146 / Angielski / Twarda / 258 str. Termin realizacji zamówienia: ok. 5-8 dni roboczych. This book presents a state-of-the-art technique for formal verification of continuous-time Simulink/Stateflow diagrams, featuring an expressive hybrid system modelling language, a powerful specification logic and deduction-based verification approach, and some impressive, realistic case studies.
This book presents a state-of-the-art technique for formal verification of continuous-time Simulink/Stateflow diagrams, featuring an expressive hybrid...
|
|
cena:
444,38 zł |
Multi-Net Optimization of VLSI Interconnect
ISBN: 9781493942626 / Angielski / Miękka / 233 str. Termin realizacji zamówienia: ok. 5-8 dni roboczych. This book covers layout design and layout migration methodologies for optimizing multi-net wire structures in advanced VLSI interconnects. Scaling-dependent models for interconnect power, interconnect delay and crosstalk noise are covered in depth, and several design optimization problems are addressed, such as minimization of interconnect power under delay constraints, or design for minimal delay in wire bundles within a given routing area. A handy reference or a guide for design methodologies and layout automation techniques, this book provides a foundation for physical design challenges... This book covers layout design and layout migration methodologies for optimizing multi-net wire structures in advanced VLSI interconnects. Scaling-... |
|
cena:
347,77 zł |
Functional Verification of Dynamically Reconfigurable Fpga-Based Systems
ISBN: 9783319380865 / Angielski / Miękka / 216 str. Termin realizacji zamówienia: ok. 5-8 dni roboczych. This book analyzes the challenges in verifying Dynamically Reconfigurable Systems (DRS) with respect to the user design and the physical implementation of such systems. The authors describe the use of a simulation-only layer to emulate the behavior of target FPGAs and accurately model the characteristic features of reconfiguration. Readers are enabled with this simulation-only layer to maintain verification productivity by abstracting away the physical details of the FPGA fabric. Two implementations of the simulation-only layer are included: Extended ReChannel is a SystemC library that can be...
This book analyzes the challenges in verifying Dynamically Reconfigurable Systems (DRS) with respect to the user design and the physical implementatio...
|
|
cena:
386,41 zł |
Designing 2D and 3D Network-On-Chip Architectures
ISBN: 9781493945504 / Angielski / Miękka / 265 str. Termin realizacji zamówienia: ok. 5-8 dni roboczych. This book covers key concepts in the design of 2D and 3D Network-on-Chip interconnect. It highlights design challenges and discusses fundamentals of NoC technology, including architectures, algorithms and tools.
This book covers key concepts in the design of 2D and 3D Network-on-Chip interconnect. It highlights design challenges and discusses fundamentals of N...
|
|
cena:
433,37 zł |
Source-Synchronous Networks-On-Chip: Circuit and Architectural Interconnect Modeling
ISBN: 9781493948178 / Angielski / Miękka / 143 str. Termin realizacji zamówienia: ok. 5-8 dni roboczych. This book describes novel methods for network-on-chip (NoC) design, using source-synchronous high-speed resonant clocks. The authors discuss NoCs from the bottom up, providing circuit level details, before providing architectural simulations. As a result, readers will get a complete picture of how a NoC can be designed and optimized. Using the methods described in this book, readers are enabled to design NoCs that are 5X better than existing approaches in terms of latency and throughput and can also sustain a significantly greater amount of traffic.
This book describes novel methods for network-on-chip (NoC) design, using source-synchronous high-speed resonant clocks. The authors discuss NoCs from...
|
|
cena:
386,41 zł |
3D Video Coding for Embedded Devices: Energy Efficient Algorithms and Architectures
ISBN: 9781493955084 / Angielski / Miękka / 204 str. Termin realizacji zamówienia: ok. 5-8 dni roboczych. This book shows readers how to develop energy-efficient algorithms and hardware architectures to enable high-definition 3D video coding on resource-constrained embedded devices. Users of the Multiview Video Coding (MVC) standard face the challenge of exploiting its 3D video-specific coding tools for increasing compression efficiency at the cost of increasing computational complexity and, consequently, the energy consumption. This book enables readers to reduce the multiview video coding energy consumption through jointly considering the algorithmic and architectural levels. Coverage includes...
This book shows readers how to develop energy-efficient algorithms and hardware architectures to enable high-definition 3D video coding on resource-co...
|
|
cena:
386,41 zł |
Routing Algorithms in Networks-On-Chip
ISBN: 9781493955114 / Angielski / Miękka / 410 str. Termin realizacji zamówienia: ok. 5-8 dni roboczych. This book provides a single-source reference to routing algorithms for Networks-on-Chip (NoCs), as well as in-depth discussions of advanced solutions applied to current and next generation, many core NoC-based Systems-on-Chip (SoCs). After a basic introduction to the NoC design paradigm and architectures, routing algorithms for NoC architectures are presented and discussed at all abstraction levels, from the algorithmic level to actual implementation. Coverage emphasizes the role played by the routing algorithm and is organized around key problems affecting current and next generation,...
This book provides a single-source reference to routing algorithms for Networks-on-Chip (NoCs), as well as in-depth discussions of advanced solutions ...
|
|
cena:
579,64 zł |
Systemc and Systemc-Ams in Practice: Systemc 2.3, 2.2 and Systemc-Ams 1.0
ISBN: 9783319343594 / Angielski / Miękka / 460 str. Termin realizacji zamówienia: ok. 5-8 dni roboczych. This book describes how engineers can make optimum use of the two industry standard analysis/design tools, SystemC and SystemC-AMS. The authors use a system-level design approach, emphasizing how SystemC and SystemC-AMS features can be exploited most effectively to analyze/understand a given electronic system and explore the design space. The approach taken by this book enables system engineers to concentrate on only those SystemC/SystemC-AMS features that apply to their particular problem, leading to more efficient design. The presentation includes numerous, realistic and complete examples,...
This book describes how engineers can make optimum use of the two industry standard analysis/design tools, SystemC and SystemC-AMS. The authors use a ...
|
|
cena:
463,70 zł |
Design-For-Test and Test Optimization Techniques for Tsv-Based 3D Stacked ICS
ISBN: 9783319345345 / Angielski / Miękka / 245 str. Termin realizacji zamówienia: ok. 5-8 dni roboczych. This book describes innovative techniques to address the testing needs of 3D stacked integrated circuits (ICs) that utilize through-silicon-vias (TSVs) as vertical interconnects. The authors identify the key challenges facing 3D IC testing and present results that have emerged from cutting-edge research in this domain. Coverage includes topics ranging from die-level wrappers, self-test circuits, and TSV probing to test-architecture design, test scheduling, and optimization. Readers will benefit from an in-depth look at test-technology solutions that are needed to make 3D ICs a reality and...
This book describes innovative techniques to address the testing needs of 3D stacked integrated circuits (ICs) that utilize through-silicon-vias (TSVs...
|
|
cena:
397,24 zł |
Models, Methods, and Tools for Complex Chip Design: Selected Contributions from Fdl 2012
ISBN: 9783319348230 / Angielski / Miękka / 221 str. Termin realizacji zamówienia: ok. 5-8 dni roboczych. This book brings together a selection of the best papers from the fifteenth edition of the Forum on specification and Design Languages Conference (FDL), which was held in September 2012 at Vienna University of Technology, Vienna, Austria.
This book brings together a selection of the best papers from the fifteenth edition of the Forum on specification and Design Languages Conference (FDL...
|
|
cena:
579,64 zł |
Integrated Circuit Authentication: Hardware Trojans and Counterfeit Detection
ISBN: 9783319374987 / Angielski / Miękka / 222 str. Termin realizacji zamówienia: ok. 5-8 dni roboczych. This book describes techniques to verify the authenticity of integrated circuits (ICs). It focuses on hardware Trojan detection and prevention and counterfeit detection and prevention. The authors discuss a variety of detection schemes and design methodologies for improving Trojan detection techniques, as well as various attempts at developing hardware Trojans in IP cores and ICs. While describing existing Trojan detection methods, the authors also analyze their effectiveness in disclosing various types of Trojans, and demonstrate several architecture-level solutions.
This book describes techniques to verify the authenticity of integrated circuits (ICs). It focuses on hardware Trojan detection and prevention and cou...
|
|
cena:
397,24 zł |
Programming Heterogeneous Mpsocs: Tool Flows to Close the Software Productivity Gap
ISBN: 9783319375090 / Angielski / Miękka / 232 str. Termin realizacji zamówienia: ok. 5-8 dni roboczych. This book provides embedded software developers with techniques for programming heterogeneous Multi-Processor Systems-on-Chip (MPSoCs), capable of executing multiple applications simultaneously. It describes a set of algorithms and methodologies to narrow the software productivity gap, as well as an in-depth description of the underlying problems and challenges of today's programming practices. The authors present four different tool flows: A parallelism extraction flow for applications written using the C programming language, a mapping and scheduling flow for parallel applications, a...
This book provides embedded software developers with techniques for programming heterogeneous Multi-Processor Systems-on-Chip (MPSoCs), capable of exe...
|
|
cena:
510,75 zł |
Trace-Based Post-Silicon Validation for VLSI Circuits
ISBN: 9783319375946 / Angielski / Miękka / 108 str. Termin realizacji zamówienia: ok. 5-8 dni roboczych. This book first provides a comprehensive coverage of state-of-the-art validation solutions based on real-time signal tracing to guarantee the correctness of VLSI circuits. The authors discuss several key challenges in post-silicon validation and provide automated solutions that are systematic and cost-effective. A series of automatic tracing solutions and innovative design for debug (DfD) techniques are described, including techniques for trace signal selection for enhancing visibility of functional errors, a multiplexed signal tracing strategy for improving functional error detection, a...
This book first provides a comprehensive coverage of state-of-the-art validation solutions based on real-time signal tracing to guarantee the correctn...
|
|
cena:
386,41 zł |
Exploring Memory Hierarchy Design with Emerging Memory Technologies
ISBN: 9783319375953 / Angielski / Miękka / 122 str. Termin realizacji zamówienia: ok. 5-8 dni roboczych. This book equips readers with tools for computer architecture of high performance, low power, and high reliability memory hierarchy in computer systems based on emerging memory technologies, such as STTRAM, PCM, FBDRAM, etc. The techniques described offer advantages of high density, near-zero static power, and immunity to soft errors, which have the potential of overcoming the "memory wall." The authors discuss memory design from various perspectives: emerging memory technologies are employed in the memory hierarchy with novel architecture modification; hybrid memory structure is introduced...
This book equips readers with tools for computer architecture of high performance, low power, and high reliability memory hierarchy in computer system...
|
|
cena:
386,41 zł |
Model-Implementation Fidelity in Cyber Physical System Design
ISBN: 9783319473062 / Angielski / Twarda / 236 str. Termin realizacji zamówienia: ok. 5-8 dni roboczych. This book puts in focus various techniques for checking modeling fidelity of Cyber Physical Systems (CPS), with respect to the physical world they represent.
This book puts in focus various techniques for checking modeling fidelity of Cyber Physical Systems (CPS), with respect to the physical world they rep...
|
|
cena:
386,41 zł |
Languages, Design Methods, and Tools for Electronic System Design: Selected Contributions from Fdl 2013
ISBN: 9783319359137 / Angielski / Miękka / 305 str. Termin realizacji zamówienia: ok. 5-8 dni roboczych. This book brings together a selection of the best papers from the sixteenth edition of the Forum on specification and Design Languages Conference (FDL), which was held in September 2013 in Paris, France.
This book brings together a selection of the best papers from the sixteenth edition of the Forum on specification and Design Languages Conference (FDL...
|
|
cena:
510,75 zł |
Debug Automation from Pre-Silicon to Post-Silicon
ISBN: 9783319356105 / Angielski / Miękka / 171 str. Termin realizacji zamówienia: ok. 5-8 dni roboczych. This book describes automated debugging approaches for the bugs and the faults which appear in different abstraction levels of a hardware system. The authors employ a transaction-based debug approach to systems at the transaction-level, asserting the correct relation of transactions. The automated debug approach for design bugs finds the potential fault candidates at RTL and gate-level of a circuit. Debug techniques for logic bugs and synchronization bugs are demonstrated, enabling readers to localize the most difficult bugs. Debug automation for electrical faults (delay faults) finds the...
This book describes automated debugging approaches for the bugs and the faults which appear in different abstraction levels of a hardware system. The ...
|
|
cena:
193,19 zł |
Model-Driven Design Using Iec 61499: A Synchronous Approach for Embedded and Automation Systems
ISBN: 9783319349039 / Angielski / Miękka / 194 str. Termin realizacji zamówienia: ok. 5-8 dni roboczych. This book describes a novel approach for the design of embedded systems and industrial automation systems, using a unified model-driven approach that is applicable in both domains. The authors illustrate their methodology, using the IEC 61499 standard as the main vehicle for specification, verification, static timing analysis and automated code synthesis. The well-known synchronous approach is used as the main vehicle for defining an unambiguous semantics that ensures determinism and deadlock freedom. The proposed approach also ensures very efficient implementations either on small-scale... This book describes a novel approach for the design of embedded systems and industrial automation systems, using a unified model-driven approach th... |
|
cena:
386,41 zł |
Embedded Systems Design for High-Speed Data Acquisition and Control
ISBN: 9783319345918 / Angielski / Miękka / 155 str. Termin realizacji zamówienia: ok. 5-8 dni roboczych. This book serves as a practical guide for practicing engineers who need to design embedded systems for high-speed data acquisition and control systems. A minimum amount of theory is presented, along with a review of analog and digital electronics, followed by detailed explanations of essential topics in hardware design and software development. The discussion of hardware focuses on microcontroller design (ARM microcontrollers and FPGAs), techniques of embedded design, high speed data acquisition (DAQ) and control systems. Coverage of software development includes main programming... This book serves as a practical guide for practicing engineers who need to design embedded systems for high-speed data acquisition and control syst... |
|
cena:
459,67 zł |